Skip to content

Extreme Ultraviolet Lithography

Best in textbook rentals since 2012!

ISBN-10: 0071549188

ISBN-13: 9780071549189

Edition: 2009

Authors: Banqiu Wu, Ajay Kumar

List price: $146.00
Blue ribbon 30 day, 100% satisfaction guarantee!
what's this?
Rush Rewards U
Members Receive:
Carrot Coin icon
XP icon
You have reached 400 XP and carrot coins. That is the daily max!

Description:

Explains the most promising innovation in microlithography.today ..This landmark resource provides the first complete guide to.extreme ultraviolet lithography (EUVL), covering the latest scientific.theory, processing methods, applications, and future.directions. Edited by two renowned EUVL experts, the reference.contains contributions by prominent engineers at leading semiconductor.manufacturers such as Intel and ASM Lithography..Designed to help you optimize.EUVL, Extreme Ultraviolet Lithography covers EUV.lithography tools, EUV printer, EUV sources, multilayer EUV, EUV.optics, defect control, resist, mask techniques, and more.
Customers also bought

Book details

List price: $146.00
Copyright year: 2009
Publisher: McGraw-Hill Education
Publication date: 4/24/2009
Binding: Hardcover
Pages: 482
Size: 6.40" wide x 9.40" long x 1.18" tall
Weight: 1.782
Language: English

Contributors
Foreword
Preface
Introduction
Overview
EUVL History
Applications
Photomasks
Glass Substrate
Multilayer Mirror
Capping Layer
Absorber Layer
Defect Mitigation and Handling Strategy
Resist Films
RLS Triangle
Outgassing
Exposure
Source-Collector Module (SoCoMo)
Debris Mitigation
Printing
Shadowing and Flare Compensation
Resolution-Enhancement Techniques (RETs)
Future Enhancements
References
Exposure System
Introduction: Extreme Ultraviolet Lithography (EUVL), Extension of Optical Lithography
Overview of Optical Lithography
Optical Fundamentals for Lithography
Benefits of EUVL
EUVL-Specific Challenges
Introduction
Source
Vacuum
Reflective Optics
Mask Defects
Mask Nontelecentricity
Flare
Mechanics
EUVL System Performance Predictions
Introduction
Optical Transfer Function (OTF) Modeling
Process Window Modeling
Mask Shadowing
EUVL Systems Overview
Introduction
Overview of the ETS
Overview of the ASML Alpha Demo Tool
Detailed Analysis and Performance Characterization of an EUVL Microstepper
Introduction
MET System Overview
MET Predicted Resolution Limit
Tool Characterization
Pupil-Fill Control in EUVL
Introduction
Benefits of Pupil-Fill Control
Pupil-Fill Control Methods in EUVL
Demonstration of Modified Illumination in EUVL
Flare
Introduction
Computing/Predicting Flare
Measuring Flare
Optical Components for EUVL
Grating-Based High-Efficiency Spectral-Purity Filter
Diffractive Optical Elements for Pupil-Fill Control
References
EUV Sources
Introduction
History of Sources in the EUV Region
Considerations from Early Source Studies for EUVL
Early Discharge-and Laser-Produced Plasma Concepts
Current Directions of Development
Current EUVL Roadmap Requirements
EUV Radiation Characteristics
Lifetime and Stability
EUV Light Generation and Overall Efficiency
Footprint and Cost of Ownership
DPP Sources
Theoretical Background for Discharge Plasmas
The Dense-Plasma-Focus EUV Source
The Hollow-Cathode Pinch-Plasma Source
The Z-Pinch-Plasma Source
Star-Pinch-Plasma EUV Source
Capillary-Discharge Sources
EUV Collectors for DPP Sources
Debris-Mitigation Schemes for DPP Sources
Laser-Plasma Sources
Physics of Laser-Plasma EUV Sources
Spectral Emission from Tin
Computer Code Modeling of Plasma and Radiation
Laser-Plasma Source Architectures
EUV Conversion Efficiency
Particle, Neutral-Atom, and Ion Debris
Debris Mitigation for Laser-Plasma Sources
EUV Collection Optics for LPP Sources
Future Trends
Integrated Sources Using Laser Plasmas
Pushing for More Power
Changes in Source Technologies
References
EUV Optics
Introduction
Lithography Using 13.5-nm Light
Optical Properties in the EUV Spectral Range
EUV Optical Components
Optical Designs
Condensers and Illuminators
Basic Imaging System Requirements
Projection Optics for Microsteppers
Projection Optics-Scanners with NA<0.25
Projection Optics-Scanners with NA&#8805; 0.25
Optics Fabrication and Metrology
Requirements
Substrate Fabrication and Metrology
Multilayer Films
At-Wavelength Metrology
Optics Lifetime
Contamination Processes
Impact of Contamination
Mitigation and Cleaning Techniques
Summary and Outlook
Acknowledgments
References
Multilayer Interference Coatings for EUVL
Overview and History of Multilayer Coatings in EUVL
Main Requirements for EUVL Coatings
Design of EUV Multilayer Coatings
Optical Constants and Material Selection
High-Reflective Mo/Si Mirrors
Broadband and Narrowband Mirrors
Multilayer Deposition Technologies
Imperfections in Mo/Si Multilayer Mirrors
Interface-Engineered Mo/Si Coatings
Mirrors with Enhanced Reflectance
Mirrors with Enhanced Thermal Stability
Stability of Mo/Si Coatings
Thermal Stability
Radiation Stability
Stress Reduction in Mo/Si Coatings
Concluding Remarks
Acknowledgments
References
EUV Metrology
Introduction
Optical Critical-Dimension (OCD) Techniques Using Scatterometry
Introduction, Limits of OCD
Scatterometry Measurement Modes
Test Structures and Models
Model Descriptions
Model Stability
Fundamental Limits
Sensitivity Analysis
EUV Mask Optical Inspection
OCD Using High-Resolution Microscopy
CD Metrology
Arrayed CD Targets
AFM for Water and EUV Mask Metrology
Reference Metrology Applications
AFM Data Output
Instrument Accuracy
New Directions in AFM Metrology in EUVL
Imaging Techniques for Overlay
In-Chip Overlay Targets
Superstructure Target Designs
Scatterometry for Overlay
Charged-Particle CD Metrology
Contamination-Free Operation
High-Precision Image and Data Acquisition
Accurate Model-Based Dimensional Metrology
Acknowledgments
References
EUV Photoresist
Introduction
Evolutionary Changes in Resist Technologies
History and Description of Chemically Amplified Resists
Chemically Amplified (CA) Resists
Acid Diffusion in CA Resists
DUV Resist Image Thermal Stability
193-nm Resists
193-nm Acrylic SLRs
Etch-Resistant Resists
EUV Resists
Special Requirements for EUV Resists
EUV Resists Exposure Performance
EUV Resist Materials and Polymers
EUV PAGs
Multilayer Resists and Pattern Transfer
Absorption Coefficients of EUV Resists
Line-Edge Roughness (LER)
Pattern Collapse
Outgassing
Summary
References
EUVL Masks
Introduction
Masks and Lithography
Overview of EUVL Masks
EUVL Mask Substrates
Coefficient of Thermal Expansion
Substrate Surface
EUVL Mask Blanks
Multilayer
Defect Inspection of Blank Masks
Defect Control of Blank Masks
EUVL Mask Absorber
Requirements of the EUVL Absorber Stack
Absorber Materials
EUVL Mask Pattern Generation
E-Beam Exposure
Resist Processing
EUVL Mask Etch
Plasma Etch Fundamentals
EUV Absorber-Layer Etching
Buffer-Layer Etching
Backend and Defect Control
Cleaning
Inspection
Repair
EUVL Mask Protection
Mask without Pellicle
Thermophoretic "Pellicle" Approaches
Conclusions
References
Index