Skip to content

Characterization and Metrology for ULSI Technology 2000 International Conference

Best in textbook rentals since 2012!

ISBN-10: 156396967X

ISBN-13: 9781563969676

Edition: 2001

Authors: David G. Seiler, Alain C. Diebold, Thomas J. Shaffner, Robert McDonald, W. Murray Bullis

List price: $185.00
Blue ribbon 30 day, 100% satisfaction guarantee!
Out of stock
We're sorry. This item is currently unavailable.
what's this?
Rush Rewards U
Members Receive:
Carrot Coin icon
XP icon
You have reached 400 XP and carrot coins. That is the daily max!

Description:

The worldwide semiconductor community faces increasingly difficult challenges as it moves into the manufacturing of chips with feature sizes approaching 100 nm. Some of the challenges are materials-related, such as transistors with high-k dielectrics and on-chip interconnects made from copper and low-k dielectrics. The magnitude of these challenges demands special attention from those in the metrology and analytical measurements community. Characterization and metrology are key enablers for developing semiconductor process technology and in improving manufacturing.This book summarizes major issues and gives critical reviews of important measurement techniques that are crucial to continue…    
Customers also bought

Book details

List price: $185.00
Copyright year: 2001
Publisher: American Institute of Physics
Publication date: 4/1/2001
Binding: Mixed Media
Pages: 708
Size: 8.25" wide x 10.75" long x 1.50" tall
Weight: 3.784
Language: English

Preface
Program Committee Members
Transistor Physics History
John Bardeen and Transistor Physics
Challenges
Technology in the Internet Era
Metrology Needs and Challenges for the Semiconductor Industry
Impact of the ITRS Metrology Roadmap
Semiconductor Product Analysis Challenges Based on the 1999 ITRS
The Assembly Analytical Forum: Addressing the Analytical Challenges Facing Packaging and Assembly
Front end Processes--Materials
Silicon Wafers for the Mesoscopic Era
Front end Processes--Gate Dielectrics
Photoemission Study of Energy Band Alignment and Gap State Density Distribution for High-k Gate Dielectrics
Challenges of Gate-Dielectric Scaling, including the Vertical Replacement-Gate MOSFET
Electrical Characterization of Ultra-thin Oxides and High K Gate Dielectrics
Modeling Soft Breakdown Phenomenon under Constant Voltage Stress in Ultra Thin Gate Oxides with PSpice Circuit Simulator
Product Wafer Measurements of MOS Gate Dielectric Quality with a Small Diameter Elastic Probe
HRTEM Image Simulations of Structural Defects in Gate Oxides
HRTEM Image Simulations for Gate Oxide Metrology
Optical and Electrical Thickness Measurements of Alternate Gate Dielectrics: A Fundamental Difference
An Examination of Tantalum Pentoxide Thin Dielectric Films Using Grazing Incidence X-ray Reflectivity and Powder Diffraction
Gate Dielectric Thickness Metrology Using Transmission Electron Microscopy
Spectroscopic Evidence for a Network Structure in Plasma-Deposited Ta[subscript 2]O[subscript 5] Films for Microelectronic Applications
Minimization of Mechanical and Chemical Strain at Dielectric-Semiconductor and Internal Dielectric Interfaces in Stacked Gate Dielectrics for Advanced CMOS Devices
Characterization of Silicon-Oxynitride Dielectric Thin Films Using Grazing Incidence X-ray Photoelectron Spectroscopy
Fabrication and Electron Microprobe Characterization of Barium-Strontium-Titanate (BST) Films
Non-contact Thickness and Electrical Characterization of High-k Dielectrics
Front End Processes
Junction Depth Measurement Using Carrier Illumination
Novel Techniques for Data Retention and Leff Measurements in Two Bit microFLASH Memory Cells
Characterizing Interfacial Roughness by Light Scattering Ellipsometry
Directional Mass Analysis of Ozone Dissociation during Thin Oxide Formation with Highly Concentrated Ozone
TEM Analysis of 64M Flash Memory Using FIB Sample Preparation Techniques
Power Spectral Density Functions for Si Wafer Surfaces Using Six Measurement Techniques
Non-contact Defect Diagnostics in Cz-Si Wafers Using Resonance Ultrasonic Vibrations
Front End Processes--Electrical Characterization
Quantitative Analysis of Copper Contamination in Silicon by Surface Photovoltage Minority Carrier Lifetime Analysis
COCOS (Corona Oxide Characterization of Semiconductor) Non-contact Metrology for Gate Dielectrics
"Gated-Diode" Configuration in SOI MOSFET's: A Sensitive Tool for Evaluating the Quality and Reliability of the Buried Si/SiO[subscript 2] Interface
Front End Processes--In-Situ
Investigation and Control of Spatial Characteristics of Chamber-Cleaning Plasmas
Comparison of the Identities, Fluxes, and Energies of Ions Formed in High Density Fluorocarbon Discharges
Development of an In-Line X-ray Reflectivity Technique for Metal Film Thickness Measurement
In-Situ Sensing Using Mass Spectrometry and Its Use for Run-to-Run Control on a W-CVD Cluster Tool
ITS-90 Calibration of Radiation Thermometers for RTP Using Wire/Thin-Film Thermocouples on a Wafer
Non-destructive Characterization of CMP Pads Using Scanning Ultrasonic Transmission
Monitoring Ion Current and Ion Energy during Plasma Processing Using Radio-Frequency Current and Voltage Measurements
Fiber Optic Based Optical Tomography Sensor for Monitoring Plasma Uniformity
Contamination and Defect Analysis
Chemical Contamination Control in ULSI Wafer Processing
Full Wafer Particle Defect Characterization
A Numerical/Experimental Investigation of Microcontamination in a Rotating Disk Chemical Vapor Deposition Reactor
Detection of Organic Contamination on Silicon Substrates: Comparison of Several Techniques
On Problems in Obtaining Root Cause Analysis of Al-Based Particles
PEEM Imaging and Modeling of Dopant-Concentration Variation in Si Devices
Defect Identification by Compositional Defect Review Using Auger Electron Spectroscopy
Defect Mapping Accuracy of KLA-Tencor Surfscan 6200, 6400, and SP1
Comparison of Size Distribution of Polystyrene Spheres Produced by Pneumatic and Electrospray Nebulization
Characterization and Analysis of Microelectronic Processes Using Raman Spectroscopy
Application of Micro-Raman and Photoluminescence Spectroscopy to Defect and Thin Film Characterization
Lithography
The Status and Future of Imaging Metrology Needs for Lithography
Critical Issues in Overlay Metrology
Small-Angle Neutron Scattering Measurements for the Characterization of Lithographically Prepared Structures
New Developments in Deep Ultraviolet Laser Metrology for Photolithography
Secondary Electron Image Profiles Using Bias Voltage Technique in Deep Contact Hole
A Hybrid Analysis of Ellipsometry Data from Patterned Structures
Characterization of CCD Cameras and Optics for Dimensional Metrology
Interconnect and Back End Processing
Guidelines for Selecting Multi-technology Recipes in Multilayer Filmstack Measurements
Measurement of the Dielectric Constant of Thin Films Using Goniometric Time-Domain Spectroscopy
New Photo-Acoustic Techniques for Improved In-Line Control of Opaque Metal Film Processing
Cu Electrodeposition for On-Chip Interconnections
Characterization of BPSG Films Using Neutron Depth Profiling and Neutron/X-ray Reflectometry
Energy Dispersive X-ray Analysis Using a Microcalorimeter Detector
Polysilicon Chemical-Mechanical Polishing Process Characterization Using a Non-contact Capacitance Probe Technique
Interconnect and Back End Processing--Low-K
The Transition to Cu, Damascene and Low-K Dielectrics for Integrated Circuit Interconnects, Impacts on the Industry
Mechanical Characterization of Low-K Dielectric Materials
Investigation of N[subscript 2] Plasma Effects on the Depth Profile of Hydrogen Silsesquioxane Thin Films Using High Resolution Specular X-ray Reflectivity
High Sensitivity Technique for Measurement of Thin Film Out-of-Plane Expansion. II. Conducting and Semiconducting Samples
Nanoscale Elastic Imaging and Mechanical Modulus Measurements of Aluminum/Low-k Dielectric Interconnect Structures
Structure and Property Characterization of Low-k Dielectric Porous Thin Films Determined by X-ray Reflectivity and Small-Angle Neutron Scattering
Thin Film
Semiconductor Material Applications of Rapid X-ray Reflectometry (XRR)
Recent Progress in Picosecond Ultrasonic Process Metrology
Non-contact Metal Film Metrology Using Impulsive Stimulated Thermal Scattering
Critical Analytical Techniques
New Challenges for Analytical TEM in Device Characterization
Gate Dielectric Metrology Using Advanced TEM Measurements
Low Voltage Microanalysis Using Microcalorimeter EDS
Spectroscopic Ellipsometry from the Vacuum Ultraviolet to the Far Infrared
Critical Analytical Techniques--Optical Characterization
Optical Metrology for DMD Characterization
Backside Picosecond Timing Measurements on CMOS Integrated Circuits
Optical Constants for Metrology of Hydrogenated Amorphous Silicon-Nitrogen Alloys on Si
Feasibility and Applicability of Integrated Metrology Using Spectroscopic Ellipsometry in a Cluster Tool
A New Purged UV Spectroscopic Ellipsometer to Characterize Thin Films and Multilayers at 157 nm
A New Lithography of Functional Plasma Polymerized Thin Films
Advanced FTIR Technology for the Chemical Characterization of Product Wafers
Critical Analytical Techniques--Physical Characterization/X-rays
Scanning Electron Microscopy: Present Capability, Future Improvements and Potential Replacements
Ultra High Resolution X-ray Detectors
X-ray Metrology by Diffraction and Reflectivity
Automated SEM and TEM Sample Preparation Applied to Copper/Low-k Materials
Characterization of Si/SiO[subscript 2] Multilaver Thin Films by Grazing Incidence X-ray Reflectivity
Measurement of Silicon Dioxide Film Thicknesses by X-ray Photoelectron Spectroscopy
Comparison of High- and Low-Voltage X-ray Mapping of an Electronic Device
Current Projects of ISO Technical Committee 201 on Surface Chemical Analysis
Characterization of Ultra-thin Dielectric Films Buried under Poly-Si Electrodes Using X-ray Reflectivity
Critical Analytical Techniques--Atom Probes/Scanning Probes
Towards Routine, Quantitative Two-Dimensional Carrier Profiling with Scanning Spreading Resistance Microscopy
Local Electrode Atom Probes: Prospects for 3D Atomic-Scale Metrology Applications in the Semiconductor and Data Storage Industries
Evaluation of MFM for Probing Electromigration Processes
FASTC2D: Software for Extracting 2D Carrier Profiles from Scanning Capacitance Microscopy Images
High Resolution Dopant Profiling Using a Tunable AC Scanning Tunneling Microscope
SCaMsim, A New Three-Dimensional Simulation Tool for Scanning Capacitance Microscopy
Experimental Investigation and 3D Simulation of Contrast Reversal Effects in Scanning Capacitance Microscopy
Gate Oxide Formation under Mild Conditions for Scanning Capacitance Microscopy
Critical Analytical Techniques--Sims/Shallow Junction Analysis
Ultra-shallow Junction Metrology Using SIMS: Obstacles and Advances
High Depth Resolution Secondary Ion Mass Spectrometry (SIMS) Analysis of Si[subscript 1-x]Ge[subscript x]:C HBT Structures
Neutron Activation Analysis for Calibration of Phosphorus Implantation Dose
High Precision Measurements of Arsenic Implantation Dose in Silicon by Secondary Ion Mass Spectrometry
Cluster Primary Ion Beam Secondary Ion Mass Spectrometry for Semiconductor Characterization
TOF-SIMS Quantification of Low Energy Arsenic Implants through Thin SiO[subscript 2] Layers
Author Index
Key Words Index